Search results for "Synopsys"
Lattice's Latest Design Software Enables Designers to Derive Big Benefits from Tiny FPGAs
Lattice Semiconductor today announced Lattice Diamond v2.2 software, its flagship FPGA logic design software, and iCEcube2 (v2013-03) software, the company's design environment for the iCE40 device family.
Fujitsu Semiconductor ASIC Design for 2G/3G/4G Baseband Processor in Volume Production with Synopsys 28-nm MIPI M-PHY
Synopsys today announced that Fujitsu Semiconductor is successfully shipping a 2G/3G/4G baseband processor using Synopsys' DesignWare DigRFv4 M-PHY and DigRF 3G PHY IP. Fujitsu Semiconductor selected Synopsys' silicon-proven IP to mitigate project schedule risks and help ensure the long-term interoperability of their ASIC design customer's system-on-chip with Fujitsu Semiconductor's RFIC products.
LG Adopts In-Design Physical Verification with IC Compiler and IC Validator after Multiple Successful Tapeouts
Synopsys are pleased to announce today that LG Electronics has adopted the IC Validator, Synopsys' physical verification applications tool, as part of their design implementation flow just for ARM processors. Key to LG Electronics' adoption was IC Validator's In-Design technology integration with Synopsys' IC Compiler™ place-and-route solution.
Synopsys PrimeTime ADV Reduces Signoff Iterations At Advanced Nodes
Synopsys announce immediate availability of its PrimeTime ADV solution, a new configuration of its market-leading PrimeTime static timing analysis and signoff product. PrimeTime ADV includes advanced leakage recovery and will incorporate physical-aware signoff-driven engineering change order guidance technology, which work in conjunction with the latest innovations for Synopsys' IC Compiler solution to enable the fastest path to timing closure an...
Synopsys Introduces Galaxy Custom Router Technology
Synopsys reveal advances in its Galaxy Implementation Platform with the introduction of Galaxy Custom Router technology. The new Galaxy Custom Router provides automatic routing for complex high-speed digital and mixed-signals nets that require carefully crafted, high-quality layouts, such as shielded buses or nets, differential pairs, twisted pairs and matched resistance and capacitance routing.
ARM And Synopsys Collaborate For ARM Processor Optimized Reference Implementations
ARM and Synopsys announce availability of optimized 28-nanometer Synopsys Reference Implementations for ARM Cortex-A15 MPCore and Cortex-A7 MPCore processor clusters as well as the CoreLink CCI-400 cache-coherent interconnect. The companies collaborated to deliver these optimized implementations in TSMC 28HPM process technology using the Synopsys Galaxy Implementation Platform, ARM Artisan standard cells and memories, and ARM POP technology for c...
Micronas Standardizes on Synopsys' Design and Verification Solutions for Automotive Designs
Micronas and Synopsys Inc today announced that Micronas has standardized on Synopsys' custom and digital solutions for the design and verification of semiconductors used in automotive and industrial applications. The solution includes Galaxy Custom Designer for custom IC design, HSPICE for circuit simulation, StarRC for gate-level and transistor-level extraction, IC Compiler for place and route, IC Validator for physical verification and VCS for ...
ARM And Synopsys Collaborate To Optimize ARM Mali GPU 20nm Implementation
ARM and Synopsys have today revealed collaboration to optimize performance of ARM Mali graphics processing units in 20-nanometer and smaller process geometries using the Synopsys Galaxy Implementation Platform. The companies successfully taped out the first ARM Mali-T658 design using a 20nm process technology, ARM Artisan physical IP and shader functionality.
Synopsys Posts Financial Results for First Quarter Fiscal Year 2013
Synopsys today reported results for its first quarter of fiscal year 2013. For the first quarter of fiscal year 2013, Synopsys reported revenue of $475.1 million, compared to $425.5 million for the first quarter of fiscal 2012, an increase of 11.7 percent.
Micronas Standardizes on Synopsys' Design and Verification Solutions for Automotive Designs
Micronas and Synopsys Inc today announced that Micronas has standardized on Synopsys' custom and digital solutions for the design and verification of semiconductors used in automotive and industrial applications. The solution includes Galaxy Custom Designer for custom IC design, HSPICE for circuit simulation, StarRC for gate-level and transistor-level extraction, IC Compiler for place and route, IC Validator for physical verification and VCS for ...