IoT

Speeding-up complex SoC and IoT designs

1st April 2016
Joe Bush
0

To address the challenges of timing and power closure for FinFET designs, Synopsys has released the 2015.12 release of the PrimeTime static timing analysis tool. New PrimeTime technology improves turnaround time and power reduction while providing smarter utilisation of compute resources. This software release helps chip designers to meet demanding sign-off schedules at advanced process nodes.

“In anticipation of designers’ future timing closure challenges, Synopsys continues to offer smarter, more efficient technology," said Robert Hoogenstryd, Senior Director of Marketing for design analysis and signoff tools at Synopsys. “The 2015.12 release of PrimeTime keeps our customers well ahead of the curve, providing significant reduction in turnaround time without requiring them to upgrade existing hardware.”

System-on-chip (SoC) designers face pressure to close timing quickly, including reducing run-times and finding necessary high end compute resources. The latest release of PrimeTime takes a three-pronged approach to smarter and faster timing closure. First, it boosts performance, beginning with 2x overall faster run-time and a 10x boost in reporting function speed.

Second, PrimeTime provides improved scaling across 16 cores for 10-15x faster throughput compared to single core runs. Finally, tighter correlation of graph-based analysis (GBA) to path-based analysis (PBA), achieved through parametric on-chip variation (POCV) technology, means designers can spend less time doing run-time costly PBA analysis to eliminate false violations.

“As a supplier of world class computing solutions, our design teams must ensure our highly integrated semiconductor products achieve timing closure across a wide range of scenarios,” said Bruce Fishbein, Vice President of NCD IC Engineering at Cavium. “Synopsys PrimeTime’s continuously improving performance helps us meet our demanding sign-off schedules.”

With its HyperScale hierarchical methodology, the 2015.12 PrimeTime release reduces the need for expensive compute assets. HyperScale provides a 5-10x improvement in TAT and memory footprint compared to flat analysis, enabling use of more available and less costly compute servers. More than 20 tapeouts have successfully taken advantage of this technology.

“Mellanox’s processing solutions excel at providing great flexibility and high performance, coupled with superior integration and power efficiency. Our engineers drive this innovation with on-time delivery of increasingly more advanced and complex designs,” said Erez Shaizaf, Vice President of Chip Design at Mellanox. “Our ability to meet sign-off targets and get analysis runs through existing server farm resources requires an efficient combination of run time and memory. PrimeTime's HyperScale static timing analysis and ECO (engineering change order) technology delivers on both fronts.”

For mobile and Internet of Things (IoT) applications, a few extra percent of power reduction can provide significant advantages in the consumer market. New PrimeTime ECO enhancements enable designers to squeeze out an additional five percent power reduction, for a total power reduction of up to 40%. Also included is support for complex placement rules, critical to 10nm FinFET, that work in tandem with Synopsys’ IC Compiler II physical implementation tool. The PrimeTime ECO technology is deployed by more than 70 different companies over a broad set of applications.

Featured products

Upcoming Events

View all events
Newsletter
Latest global electronics news
© Copyright 2024 Electronic Specifier